Sosyal Medya

Güncel Teknoloji

Intel Foundry Çip Yapımında Yeni Bir Çağı Başlatıyor

Yüksek NA EUV alanında endüstrinin eyleme geçen ilk şirketi olan Intel, Intel 18A'nın ötesinde proses liderliğinin devam etmesini sağlıyor.

Intel Foundry Çip Yapımında Yeni Bir Çağı Başlatıyor

 

Yüksek NA EUV alanında endüstrinin eyleme geçen ilk şirketi olan Intel, Intel 18A’nın ötesinde proses liderliğinin devam etmesini sağlıyor.

 

Açıklanan Haberler: Intel Foundry, şirketin Hillsboro, Oregon’daki Ar-Ge tesisinde bulunan, endüstrinin ilk ticari Yüksek Sayısal Açıklıklı (Yüksek NA) Aşırı Ultraviyole (EUV) litografi tarayıcısının montajının tamamlanmasıyla gelişmiş yarı iletken üretiminde önemli bir kilometre taşına ulaşıldığını bildirdi. Intel’in litografi lideri ASML’den TWINSCAN EXE:5000 High NA EUV aracı, Intel’in gelecekteki süreç yol haritasının üretimine hazırlık için kalibrasyon aşamasında. Bu yeni araç, basılı görüntüleri bir silikon plakaya yansıtmak için optik tasarımını değiştirerek yeni nesil işlemciler için çözünürlüğü ve özellik ölçeklendirmesini önemli ölçüde artırma yeteneğine sahip.

“Yüksek NA EUV’nin eklenmesiyle Intel, endüstrideki en kapsamlı litografi araç kutusuna sahip olacak ve şirketin bu on yılın ikinci yarısında Intel 18A’nın ötesinde, gelecek proses yeteneklerini geliştirmesini sağlayacak.”

—Intel Üyesi ve Intel Foundry Mantık Teknolojisi Geliştirme Litografi, Donanım ve Çözümler Direktörü Mark Phillips

Neden Önemli: Yüksek NA EUV araçları, gelişmiş çip geliştirmede ve yeni nesil işlemcilerin üretiminde kritik bir rol oynayacak. High NA EUV alanında endüstrinin ilk hamlesini yapan Intel Foundry, çip üretiminde daha önce hiç görülmemiş hassasiyet ve ölçeklenebilirlik sunuyor ve böylelikle şirketin yapay zekâ ve diğer gelişmekte olan teknolojilerdeki ilerlemeleri yönlendirmek için gerekli olan en inovatif özelliklere ve yeteneklere sahip çipler geliştirmesine olanak tanıyor.

ASML, Veldhoven, Hollanda’daki genel merkezinde bulunan Yüksek NA laboratuvarında ilk kez 10 nanometre (nm) yoğunluğunda çizgiler bastığını yakın zamanda açıklamıştı. Söz konusu çizgiler, bir EUV litografi tarayıcısı için çözünürlükte dünya rekoru kıran, şimdiye kadar basılmış en ince çizgileri temsil ediyor ve bu adım, ASML’nin iş ortağı Zeiss’ın inovatif Yüksek NA EUV optik tasarımını doğruluyor.

Aracın optikleri, sensörleri ve aşamalarının kaba kalibrasyonu tamamlandıktan sonra, çığır açan görüntüler basıldı. Bu adım, tam özellikte çalışmaya doğru bir sıçrama tahtasını temsil ediyor. ASML’nin tam alan optik litografi sistemiyle 10nm yoğunluğunda çizgiler basabilmesi, Yüksek NA EUV aracını ticari kullanıma hazır hale getirme yolunda önemli bir adım.

Çalışma Biçimi: Intel Foundry’nin diğer lider proses teknolojisi yetenekleriyle birleştirildiğinde, Yüksek NA EUV’nin mevcut EUV araçlarından 1,7 kata kadar daha küçük baskılar yapabileceği bekleniyor. Bu, 2 boyutlu özellik ölçeklendirmesini mümkün kılarak 2,9 kata kadar daha fazla yoğunluk sağlayacak. Intel, yarı iletken endüstrisinde Moore Yasası’na yön veren daha küçük ve daha yoğun desenleme yolunda öncü konumunu koruyor.

0.33NA EUV’ye kıyasla, Yüksek NA EUV (yahut 0.55NA EUV) benzer özellikler için daha yüksek görüntüleme kontrastı sağlayabilir ve böylece pozlama başına daha az ışık sağlanarak her katmanı yazdırmak için gereken süre azalır ve plaka çıktısını artar.

Intel, 2025 yılında Intel 18A’daki ürün kanıt noktalarından başlayarak ve Intel 14A’nın üretimine devam ederek, gelişmiş çiplerin geliştirilmesi ve üretilmesinde diğer litografi proseslerine ilave olarak hem 0,33NA EUV hem de 0,55NA EUV’yi kullanmayı bekliyor.  Intel’in bu yaklaşımı, gelişmiş proses teknolojisinin maliyetini ve performansını optimize edecek.

Intel’in Rolü Hakkında: Intel, litografinin 193nm daldırma litografisinden EUV’ye ve şimdi de Yüksek NA EUV’ye evrimine yön vermek için onlarca yıldır ASML ile işbirliği yapıyor. Bunun sonucunda, en gelişmiş üretim araçlarından biri olan TWINSCAN EXE:5000 üretildi.  Yüksek NA EUV litografinin benimsenmesi, şirketi Moore Yasası ölçeklendirmesinin ön saflarına yerleştirerek Angstrom Çağı’na doğru taşıyor.

TWINSCAN EXE:5000 sistemi Oregon’a 43 yük konteynırındaki 250’den fazla sandıkta taşındı. Bu sandıklar, Seattle’a inen çok sayıda kargo uçağına yüklendi ve ardından Oregon’a götürülmek üzere 20 kamyona aktarıldı. Yeni sistemlerin her birinin toplam ağırlığı 150 metrik tondan yüksek.  (Ayrıntılı bilgiyi infografikte/bilgi formunda bulabilirsiniz.)

Intel, 2021 yılında Yüksek NA EUV’yi benimseme planlarını açıklarken, 2022 yılında Intel ve ASML bu gelişmiş teknolojiyi daha da ileri taşımak amacıyla işbirliğini sürdürdüklerini duyurdu. Intel, saatte 200’den fazla plaka üretkenliğine sahip yeni nesil TWINSCAN EXE:5200B sistemini almayı planlıyor. Bu hamle, Intel’i bu sistemde de endüstrinin ilk harekete geçen şirketi haline getiriyor.

Yüksek NA EUV Hakkında: Yüksek NA EUV litografi, yeryüzünde doğal olarak bulunmayan bir ışık dalga boyu (13,5 nm) kullanan EUV litografinin ötesinde evrimsel bir adımdır. Güneşin ortalama yüzey sıcaklığından neredeyse 40 kat daha sıcak olan yaklaşık 220.000 santigrat dereceye kadar ısıtılmış bir kalay damlasına çarpan güçlü bir lazer, ışığı oluşturur. Bu ışık, istenen devre modelinin bir şablonunu içeren bir maskeden ve ardından şimdiye kadar üretilmiş en hassas aynalarla inşa edilmiş bir optik sistemden yansır.

Sayısal Açıklık (NA), ışığı toplama ve odaklama yeteneğinin bir ölçüsüdür. Yüksek NA EUV teknolojisi, bir deseni plaka üzerine yansıtmak için kullanılan optiklerin tasarımını değiştirerek çözünürlük ve transistör boyutunda önemli bir adım atılmasına olanak tanır.  Böylesi daha küçük boyutlarda transistör oluşturma yeteneği için, Intel’in ilk Yüksek NA EUV sisteminin entegrasyonuna paralel olarak geliştirmekte olduğu yeni transistör yapıları ve diğer proses adımlarında iyileştirmeler de gereklidir.

Intel Foundry’nin Oregon Ar-Ge Tesisi Hakkında: Oregon, Intel’in proses teknolojisi araştırma ve geliştirme çabalarının merkezidir. Bu en son nesil litografi araçlarına giden yolun taşlarını döşemek için Intel, 2022 yılında Oregon’daki D1X fabrikasını genişletmek amacıyla 3 milyar dolardan fazla yatırım yaparak Mod 3’ü açtı ve tesise, 270.000 fit kare temiz oda alanı ekledi.

Intel, araştırmaları üretilebilir, öncü ve gerçek dünya ürünlerine dönüştürme hususunda 50 yılı aşkın deneyimiyle uzmanlık kazandı. Ar-Ge’ye ve Yüksek NA EUV gibi araçlara yatırım yapmak, ulusal ekonomi ve güvenlik hedeflerini karşılamak için yurtiçinde çip üretme yeteneğini artırmaya ve ABD merkezli Ar-Ge’yi yeniden canlandırmaya yardımcı olacak. Ar-Ge’nin genişletilmesi ve Oregon’daki en yeni litografi araçlarının kullanılması da yeni iş alanlarının oluşturulmasına ve en iyi yeteneklerin Kuzeybatı’ya çekilmesine yardımcı olacak. Intel, bugün ABD’nin yeni nesil yarı iletken teknolojisi ve üretimini hızlandırmaya yardımcı olmaya hazır ve gelecekte de buna hazır olacak.